首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
GaAs processed using gallium-focused ion beams for the fabrication of photonic devices mostly results in gallium nanodots on the surface. These gallium nanodots may produce unwanted effects and deteriorate the optical and electrical properties of the devices. We have investigated the FIB processing of GaAs with and without exposure to an insulator-enhanced etching precursor gas (XeF2) to explore the use of XeF2 during GaAs processing. It is reported that without the gas, FIB processing results in nanodots on the surface that vary in size and density depending on processing parameters such as incident energy, beam current, angle and dwell time. Processing with insulator (XeF2)-enhanced etching gas irrespective of the process parameters eliminates the nanodots and results in a smooth surface, as characterized by scanning electron microscopy and atomic force microscopy. This method will be useful for surfaces which require dry processing without exposure to any wet chemical etching.  相似文献   

2.
A study of fused silica micro/nano patterning by focused-ion-beam   总被引:1,自引:0,他引:1  
A dual-beam scanning electron microscopy (SEM)/focused-ion-beam (FIB) system was used to pattern fused silica substrates coated with a 15 nm thin Cr layer. The dimensions of fabricated features together with their surface morphology and profiles were investigated by SEM and atomic force microscopy (AFM). The study demonstrated that with the increase of the ion beam fluence the sputtering rate of the fused silica decreased non-linearly. Also, it was found that initially the sputtering rate increased with the increase of the beam current, after reaching a maximum value, it started decreasing when further beam current increment was performed. Compared with unprocessed areas, the surface finish of the features fabricated by FIB exhibited a significant improvement, and the ion fluence influence on the surface roughness of trenches with low aspect ratios could be considered as negligible. Using a fine beam probe, nano-gratings in the form of grooves with a width down to 54 nm and an aspect ratio higher than three were fabricated. The study showed that FIB machining could be an alternative technology to e-beam lithography for producing fused silica templates for UV nanoimprinting.  相似文献   

3.
The machining response of amorphous and crystalline Ni78B14Si8 was investigated when structuring substrates using focused-ion-beam (FIB) milling. In particular, the sputtering yield as a function of the scan speed, and the effects of ion fluence and scan speed on the milled depth were studied. The ion fluence dependent evolution of the cross-sectional profiles of trenches was examined by atomic force microscopy (AFM). When milling amorphous Ni78B14Si8, it was found that the sputtering yield first decreased with increasing the beam scan speed, then kept constant within the scan speed range, up to 710 nm/s, investigated in this work; it was also found that the milled depth was almost proportional to the ion beam fluence. The patterning of polycrystalline Ni78B14Si8 resulted in anisotropic milling-rates due to the varying orientation of the grains in the material. The analysis of the profile evolution in both materials indicated that the surface finish of trenches was scan speed, ion beam fluence and scan strategy dependent. The study demonstrated that direct patterning by FIB could be used for producing masters in amorphous Ni-based alloys for injection moulding and hot embossing.  相似文献   

4.
For direct writing of electrically conducting connections and areas into insulating gold oxide thin films a scanning Ar+ laser beam and a 30 keV Ga+ focused ion beam (FIB) have been used. The gold oxide films are prepared by magnetron sputtering under argon/oxygen plasma. The patterning of larger areas (dimension 10–100 μm) has been carried out with the laser beam by local heating of the selected area above the decomposition temperature of AuOx (130–150 °C). For smaller dimensions (100 nm to 10 μm) the FIB irradiation could be used. With both complementary methods a reduction of the sheet resistance by 6–7 orders of magnitude has been achieved in the irradiated regions (e.g. with FIB irradiation from 1.5×107 Ω/□ to approximately 6 Ω/□). The energy-dispersive X-ray analysis (EDX) show a considerably reduced oxygen content in the irradiated areas, and scanning electron microscopy (SEM), as well as atomic force microscopy (AFM) investigations, indicate that the FIB patterning in the low-dose region (1014 Ga+/cm2) is combined with a volume reduction, which is caused by oxygen escape rather than by sputtering. Received: 30 May 2000 / Accepted: 31 May 2000 / Published online: 13 July 2000  相似文献   

5.
Processing of silicon oxide surfaces with a focused laser beam at a wavelength of 514 nm has been investigated. Laser processing of native silicon samples (d ox=1–2 nm) allows the fabrication of reactive templates with laterally varying hydroxyl group density. Very similar results were also obtained on thermally oxidized silicon samples (d ox≈100 nm), whereas respective experiments on quartz plates have failed. These results support a photothermal mechanism where laser irradiation causes a local temperature rise and initiates dehydroxylation. In agreement with a photothermally induced dehydroxylation reaction, a thermokinetic analysis of the experimental data points to a highly activated process. In conjunction with site-selective functionalization routines this opens up an avenue towards functional surface structures with lateral dimensions significantly below the optical diffraction limit.  相似文献   

6.
Array of dots have been designed by assembling a monolayer of polystyrene nanospheres (PN) on sputtered thin films having Ni80Fe20 and Co composition with different thickness, ranging in the interval 20 ÷ 80 nm. Subsequently the films are nanopatterned using the nanospheres as a mask during sputter etching with Ar+ ions. A Reactive Ion Etching (RIE) process before sputter etching is used to control the final diameter of the magnetic dots that thus can be tailored as desired (typically ranging in the interval 250 ÷ 400 nm depending on the PN starting diameter). In addition, electron beam lithography has been exploited to obtain arrays of dots in Ni80Fe20 thin films having approximately the same mean size and dot distance as in self-assembled samples. All films have been routinely characterized by SEM and AFM microscopy to evaluate the microstructure. Magnetic domain patterns at magnetic remanence and in the demagnetised state have been imaged by MFM microscopy technique. Room-temperature hysteresis properties have been measured by an alternating gradient force magnetometer. In general, the magnetization process in all patterned films has been observed to have features typical of a vortex whose nucleation field depends on sample thickness and mean dot dimension. A comparison between magnetic arrays of Ni80Fe20 dots prepared by self-assembling of polystyrene nanospheres and electron beam lithography is presented to rule out the role of microstructure (i.e., order, size, and mutual distance of the magnetic dots) on magnetic properties.  相似文献   

7.
Accurate end point detection of interface for multilayers using focused ion beam (FIB) is important in nanofabrication and IC modification. Real-time end point graph shows sample absorbed current as a function of sputtering time during FIB milling process. It is found that sample absorbed current increases linearly with ion beam current for the same material and changes when ion beam is milling through a different material. Investigation by atomic force microscope (AFM) and FIB cross-sectioning shows that accurate SiO2/Si interface occurs to where the maximum sample absorbed current occurs. Since sample absorbed current can be real-time monitored in focused ion beam machine, the paper provides a viable and simple method for accurately determining the interface during FIB milling process for widely used SiO2/Si system.  相似文献   

8.
Bi2Sr2CaCu2O8 thin films 200 nm thick were irradiated with 120 keV trivalent boron ions with the dose ranging from 1011 to 1014 ion/cm2. The critical parameters of the samples prior and after irradiation were monitored by non-resonance modulated microwave absorption and Hall-probe techniques. For low doses of 1011–1012 ion/cm2, a slight increase in the critical current density and expansion of the area of the non-dissipative transport current flow were revealed. Such results are explained by the formation of separate areas of displaced atoms, which serve as effective pinning centers. The positive effects of irradiation faded away with dose increase of up to 1013–1014 ion/cm2. This is due to overlap of radiation-induced defects and weak pinning on them.  相似文献   

9.
The structure transformation occurring in fullerene film under bombardment by 50 keV C60+ cluster ions is reported. The Raman spectra of the irradiated C60 films reveal a new peak rising at 1458 cm−1 with an increase in the ion fluence. This feature of the Raman spectra suggests linear polymerization of solid C60 induced by the cluster ion impacts. The aligned C60 polymeric chains composing about 5–10 fullerene molecules have been distinguished on the film surface after the high-fluence irradiation using atomic force microscopy (AFM). The surface profiling analysis of the irradiated films has revealed pronounced sputtering during the treatment. The obtained results indicate that the C60 polymerization occurs in a deep layer situated more than 40 nm below the film surface. The deep location of the C60 polymeric phase indirectly confirms the dominant role of shock waves in the detected C60 phase transformation.  相似文献   

10.
Laser-induced backside wet and dry etching (LIBWE and LIBDE) methods were developed for micromachining of transparent materials. Comparison of these techniques is helpful in understanding the etching mechanism but was not realized due to complications in setting up comparable experimental conditions. In our comparative investigations we used a solid tin film for dry and molten tin droplets for wet etching of fused-silica plates. A tin–fused-silica interface was irradiated through the sample by a KrF excimer laser beam (λ=248 nm, FWHM=25 ns); the fluence was varied between 400 and 2100 mJ/cm2. A significant difference between the etch depths of the two investigated methods was not found. The slopes of the lines fitted to the measured data (slLIBDE=0.111 nm/mJ cm−2, slLIBDE=0.127 nm/mJ cm−2) were almost similar. Etching thresholds for LIBDE and LIBWE were approximately 650 and 520 mJ/cm2, respectively. To compare the dependence of etch rates on the pulse number, target areas were irradiated at different laser fluences and pulse numbers. With increasing pulse number a linear rise of depth was found for wet etching while for dry etching the etch depth increase was nonlinear. Secondary ion mass spectroscopic investigations proved that this can be due to the reconstruction of a new thinner tin-containing surface layer after the first pulse.  相似文献   

11.
We propose a reactive ion etching (RIE) process of an L10-FePt film which is expected as one of the promising materials for the perpendicular magnetic recording media. The etching was carried out using an inductively coupled plasma (ICP) RIE system and an etching gas combination of CH4/O2/NH3 was employed. The L10-FePt films were deposited on (1 0 0)-oriented MgO substrates using a magnetron sputtering system. The etching masks of Ti were patterned on the FePt films lithographically. The etch rates of ∼16 and ∼0 nm/min were obtained for the FePt film and the Ti mask, respectively. The atomic force microscopy (AFM) analyses provided the average roughness (Ra) value of 0.95 nm for the etched FePt surface, that is, a very flat etched surface was obtained. Those results show that the highly selective RIE process of L10-FePt was successfully realized in the present study.  相似文献   

12.
2 has been used for smoothing of rough InAs, InP, and InSb surfaces, prepared by argon ion beam etching (IBE). The evolution of the surface roughness and morphology has been studied by atomic force microscopy (AFM) as a function of the N2 RIBE process parameters (ion beam energy, ion beam angle of incidence, and ion dose). A drastic improvement of the surface roughness has been observed for ion beam angles near normal incidence and larger than 70° with increasing ion doses. By using this technique, the initial root-mean-square (rms) roughness of, e.g., InSb of about 40 nm could be decreased to about 1 nm. Received: 20 March 1998/Accepted: 24 March 1998  相似文献   

13.
Formation and ordering of Ge nanocrystals (NC) are studied on Si(0 0 1) and SiO2/Si(0 0 1) substrates patterned by focused ion beam (FIB). In both cases we use a three step process consisting of FIB milling of hole patterns with various periodicities, ex-situ substrate cleaning to remove Ga contamination and Ge NC growth by molecular beam epitaxy (MBE). We show that Ge NC can be ordered between or inside the holes on patterned Si(0 0 1) substrates and inside the holes on patterned SiO2/Si(0 0 1) substrates.  相似文献   

14.
Nano-constriction array in La0.67Sr0.33MnO3 film was fabricated by using ion beam etching masked by a monolayer of packed and ordered array of SiO2 microspheres. Nano-constrictions of around 50 nm in width were fabricated. The low field magnetoresistance (LFMR) exhibited in the samples were observed to be current dependent and the I-V characteristics of the film were found to be nonlinear. These observations were attributed to the co-existence of the ferromagnetic regions and the nano-constricted region of weakened ferromagnetic coupling where Mn3+-O-Mn4+ bond were distorted due to the ion beam bombardment. The spin polarized bias current would strengthen local ferromagnetic coupling when passing through this nano-constricted regions. This current effect is relatively large comparing to the external magnetic field to the drop of resistance.  相似文献   

15.
Focused ion beam physical sputtering and iodine-enhanced etching of indium phosphide (InP) were performed. Up to 15× enhanced etching rates over sputtering were measured at room temperature, due to the addition of iodine to the sputter-process. Reaction mechanisms and products are discussed and characterized. The reaction is limited by the desorption of indium triiodide (InI3) at room temperature. InI3 has to be removed by sputtering, which simultaneously amorphizes the underlying substrate. Surface roughness and stoichiometry of InP are compared for sputtering and etching. Gallium-contamination and the damaged zone in InP are significantly reduced by iodine-enhanced etching. Based on the reaction mechanisms, an optimum beam scanning strategy is proposed which allows precise microfabrication in reduced time and minimizes damage to the substrate. The method is also applicable for other halide gas etching processes of III-V semiconductors.  相似文献   

16.
T.Y. Ko 《Journal of luminescence》2009,129(12):1747-6635
In this report, methods of solvothermal synthesis of Sb2Se3 nanorods from a single-source precursor Sb[Se2P(O iPr)2]3 were demonstrated. The synthesized Sb2Se3 nanorods were expected to have new optical and electrical properties. With the electron beam (E-beam) lithography and focus ion beam (FIB) techniques, we achieved immobilization and positioning of a single Sb2Se3 nanorod on a patterned template. By using the confocal Raman microscope and two-point-contact electrical measurement methods, we obtained optical and electrical characteristics from a single Sb2Se3 nanorod.  相似文献   

17.
The effects of oxygen content in the sputtering gas on the crystallographic and optoelectronic properties of 210 nm-thick Zr–doped In2O3 (Zr–In2O3) films by rf magnetron sputtering were initially studied. The results of X-ray diffraction show that the Zr–In2O3 films grown on glass substrates exhibit mixed crystallographic orientations. Moreover, the Zr–In2O3 film grown in an Ar atmosphere promotes the appearance of crystallographic orientation of (222). The surface of the Zr–In2O3 film becomes rougher as the oxygen content in the sputtering gas decreases; the current images obtained by conductive atomic force microscopy reveal that the surfaces of the Zr–In2O3 films exhibit a distribution of coexisting conducting and nonconducting regions, and that the area of the nonconducting surface increases with the oxygen content in the sputtering gas. The resistivity is minimized to 3.51×10−4 Ω cm when the Zr–In2O3 film is grown in an Ar atmosphere and the average transmittance in the visible light region is ∼85%. The optical band gap decreases as the oxygen content in the sputtering gas increases.  相似文献   

18.
The aim of the present study is to demonstrate the feasibility to form well-ordered nanoholes on InP(1 0 0) surfaces by low Ar+ ion sputtering process in UHV conditions from anodized aluminum oxide (AAO) templates. This process is a promising approach in creating ordered arrays of surface nanostructures with controllable size and morphology. To follow the Ar+ ion sputtering effects on the AAO/InP surfaces, X-ray photoelectron spectroscopy (XPS) was used to determine the different surface species. In4d and P2p core level spectra were recorded on different InP(1 0 0) surfaces after ions bombardment. XPS results showed the presence of metallic indium on both smooth InP(1 0 0) and AAO/InP(1 0 0) surfaces. Finally, we showed that this experiment led to the formation of metallic In dropplets about 10 nm in diameter on nanoholes patterned InP surface while the as-received InP(1 0 0) surface generated metallic In about 60 nm in diameter.  相似文献   

19.
In this study, thin anodic aluminum oxide (AAO) templates both on silicon substrates (AAO template/SiO2/Si) and Ti-coated silicon substrates (AAO template/Ti/SiO2/Si) were developed for design of magnetic, electronic and optoelectronic devices, chemical sensors and chip-scale lithium-ion rechargeable microbatteries. Two types of AAO template were prepared by using a two-step anodization procedure. The templates were characterized by scanning electron microscopy and energy dispersive X-ray spectroscopy. The obtained thin AAO templates were approximately 50 nm in diameter and 700 nm in length with 80-nm interpore distances in a relatively large area of 6 cm2. A barrier layer of the AAO templates was removed by a cathodic polarization method in KCl solution for several seconds. The current–time transient during removing the alumina barrier layer of the thin AAO template and the mechanism of electrochemical dissolution of the barrier layer are given in detail.  相似文献   

20.
Light-induced dry etching of Si(100) in the VUV range using synchrotron radiation (SR) and a halogen-containing gas (XeF2) has been investigated with respect to selectivity, anisotropy, quantum efficiency, optimal wavelength, spatial resolution and quality of the photochemical etching processes. Microstructuring of Si with XeF2can be optimized to achieve etched structures in the sub-micrometre range by increasing the contrast in choosing a wavelength with minimal unselective etching. The strength of unselective etching is strongly wavelength dependent and follows the XeF2gas phase absorption coefficient. Fragments from dissociation of the XeF2reach the Si surface and thus cause unselective etching. Optimal dry etching occurs for wavelengths around 120 nm because the selectivity is high due to an excitation of a surface layer and also the quantum efficiency is very large. An efficiency of 10 removed Si atoms per incoming photon, which exceeds that in the visible spectral range by more than four orders of magnitude, combined with the higher spatial resolution at 120 nm compared to the conventional excimer laser and I-line wavelengths and the availability of optical materials for imaging present a perspective for generating line densities in the Gbit range.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号