首页 | 官方网站   微博 | 高级检索  
     


A novel interconnect-optimal repeater insertion model with target delay constraint in 65nm CMOS
Authors:Zhu Zhang-Ming  Qian Li-Bo and Yang Yin-Tang
Affiliation:Institute of Microelectronics, Xidian University, Xi'an 710071, China
Abstract:Repeater optimization is the key for SOC (System on Chip) interconnect delay design. This paper proposes a novel optimal model for minimizing power and area overhead of repeaters while meeting the target performance of on-chip interconnect lines. It also presents Lagrangian function to find the number of repeaters and their sizes required for minimizing area and power overhead with target delay constraint. Based on the 65 nanometre CMOS technology, the computed results of the intermediate and global lines show that the proposed model can significantly reduce area and power of interconnected lines, and the better performance will be achieved with the longer line. The results compared with the reference paper demonstrate the validity of this model. It can be integrated into repeater design methodology and CAD (computer aided design) tool for interconnect planning in nanometre SOC.
Keywords:distributed RLC  interconnect power dissipation and area  target delay  lagrangian function
本文献已被 维普 等数据库收录!
点击此处可从《中国物理 B》浏览原始摘要信息
点击此处可从《中国物理 B》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号